查看: 2255|回复: 0

Altera MAX10 FPGA—10M08评估套件开箱试玩

[复制链接]
  • TA的每日心情
    开心
    2018-7-3 21:49
  • 签到天数: 750 天

    连续签到: 1 天

    [LV.10]以坛为家III

    发表于 2015-1-4 08:52:35 | 显示全部楼层 |阅读模式
    分享到:
    MAX10是Altera新出的器件,需要14.0以上的版本才能使用,因此,去官网下载最新的QII14.1来开发了,没想到之前安装13.0基本没遇到什么问题,这下14.1却耽误了几天。(对于不同的电脑可能都不一样)。首先
    一:软件安装
    1.Quartus 官网下载:
    迅雷下载:可以选择DVD文件,比较方便
    采用直接下载的方式(迅雷下载)


    2.安装:
    用虚拟光驱打开即可,主要注意就是关掉那坑爹的360软件,不然又可能出现如下error
    error copying file from packed archive,然后就安装失败了(安装没多久)
    这不是下载的软件有问题,而是360软件没关。。。
    3.安装完之后,打开quartus,竟然爆出如下错误。

    装13.0无任何的问题,结果现在出了这么多状况
    解决办法关键词:百度一下:应用程序无法正常启动0xc000007b怎么办(其实Direcx修复工具)https://jingyan.baidu.com/article/2f9b480dba72fb41cb6cc2fd.html
    然后就是破解了。
    破解网上很多,这步倒是很容易
    按照破解步骤,选择第一个NIC的ID

    然后开发环境就这样搞定了,也破解成功了。
    二:板子试玩
    Altera的MAX10套件板块上的外设资源不是很多,可能要自己找点外设来玩玩了,拿到板块先看看板块的资源。

    板子一上电,有一个板子的demo程序,现象是LED(D1-D5)1s亮,1s灭。
    用户LED(D1-D5)管脚分配如下:

    D6是电源指示灯
    那么,动手跑起板子的第一个流水灯的程序。
    /*-----------------------------------------------------------------------Author                :   xzyEmail Address         :   xzyfeixiang@163.comFilename              :   MAX_01.vDate                  :   2015-01-02Version               :   1.0Description           :   Display Water LEDsdevice                :   MAX10M08SAE144C8GESAdditional Comments   :   The entire notice above must be reproduced on all authorized copies.Modification History  ata         By           Version      Change Description=========================================================================15/01/02     xzy          1.0          Original------------------------------------------------------------------------------------------------------------------------------------------------*/`timescale  1ns/1nsmodule max_01(    //global  clock         input        clk   ,   //50Mhz         input        rst_n ,                     //user led  output         output [4:0] led);//delay for led water leds,1sparameter  DELAY_1S   =  28'd50_000_000  ;reg [27:0] delay_cnt=0;always @(posedge clk)begin    if(!rst_n)               delay_cnt<=0;         else  if(delay_cnt<DELAY_1S-1'b1)               delay_cnt<=delay_cnt+1'b1;         else               delay_cnt<=0;end//1s delay competedwire  delay_done  = (delay_cnt == DELAY_1S-1'b1) ? 1'b1  :1'b0;//generate the led statereg [2:0]led_state;always @(posedge clk)begin    if(!rst_n)                 led_state<=3'd0;         else  if(delay_done)               begin               if(led_state<3'd5)                                led_state<=led_state+1'b1;                         else                                led_state<=0;                         end         else                 led_state<=led_state;end//led displayreg [4:0]led_reg=5'b11111;always @(led_state)begin    case(led_state)         3'd1:    led_reg=5'b11110;         3'd2:    led_reg=5'b11101;         3'd3:    led_reg=5'b11011;         3'd4:    led_reg=5'b10111;         3'd5:    led_reg=5'b01111;         default: led_reg=5'b11111;         endcaseendassign led=led_reg;endmodule
    这个官方usb-blaster倒是很帅气。
    这个和一般的FPGA开发流程是一样的,那么在接下来的试用,玩玩这款MAX10特色的地方。
    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条

    手机版|小黑屋|与非网

    GMT+8, 2024-4-26 21:24 , Processed in 0.123831 second(s), 18 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.