查看: 1061|回复: 0

【小脚丫Step FPGA】旋转式编码开关----微风细雨

[复制链接]
  • TA的每日心情
    郁闷
    2017-12-4 18:33
  • 签到天数: 94 天

    连续签到: 1 天

    [LV.6]常住居民II

    发表于 2015-12-11 09:13:41 | 显示全部楼层 |阅读模式
    分享到:
    小型旋转编码器,又称旋转编码开关,是指具有一组有规律且严格时 序脉冲的开关电子元器件。通过与IC的配合,起到递增,递减,翻页等功能,例:鼠标的翻页,菜单的选择,音响的声音与调节,频率的调节,多仕炉的温度调节,医用器械的频率调节等。
          按结构可将其分为机械式旋转编码开关和光电式旋转编码开关。所谓机械式旋转编码开关,是指通过信号配合零件的规律转动或(移动)形成触点接触而产生规律脉冲的编码产品,其寿命具有较大的局限性;光电式旋转编码开关,是指通过光源耦合,形成的无接触点接触而产生规律脉冲的编码产品,其寿命极长。
          本节实验采用的是Pmod模块,先介绍一下开关结构。
          旋转编码结构:

         由于是机械结构,所以开关输出波形存在抖动。开关向右旋转时,A,B输出波形如下。可见A相波形先由低变高,或者说A相超前B相。

          当开关向左旋转时,波形类似,区别在于B输出波形,先由低变高,或者说A相滞后于B相。
          这样理解可能有点晦涩,那可以简单描述为,在A相的上升沿,若B相为低电平,则开关向右旋转。同理,在A相的上升沿,若B相为高电平,则开关向左旋转。
         旋转编码原理理解后,再看看开关自带的按键。只是一个按键下拉输出而已,结构如下:

          理解其原理之后,代码方面就简单许多。关键代码如下:
    always@(posedge clk,posedge rst)begin                if(rst)begin                        A_Debounce_reg <= 1'b1;                end                else begin                        A_Debounce_reg <= A_Debounce;                end        end                assign A_pos = !A_Debounce_reg && A_Debounce;        assign A_neg = A_Debounce_reg && !A_Debounce;                always@(posedge clk,posedge rst)begin                if(rst)begin                        rotary_right <= 1'b1;                        rotary_left <= 1'b1;                end                else begin                        if(A_pos && !B_Debounce)begin                                rotary_right <= 1'b1;                        end                                                if(A_pos && B_Debounce)begin                                rotary_left <= 1'b1;                        end                                                if(A_neg && B_Debounce)begin                                rotary_right <= 1'b0;                        end                                                if(A_neg && !B_Debounce)begin                                rotary_left <= 1'b0;                        end                end        end        always@(posedge clk,posedge rst)begin                if(rst)begin                        rotary_right_reg <= 1'b1;                        rotary_left_reg <= 1'b1;                end                else begin                        rotary_right_reg <= rotary_right;                        rotary_left_reg <= rotary_left;                end        end        assign rotary_right_pos = !rotary_right_reg && rotary_right;        assign rotary_left_pos = !rotary_left_reg && rotary_left;        assign rotary_event = rotary_right_pos || rotary_left_pos;代码解释:
    A,B两相经过消抖,得到稳定的A_Debounce和B_Debounce。旋转的判断都是基于这两个消抖后的信号。根据之前的原理分析,在A相上升沿,B相为低电平时,将右转信号赋值为高电平。在A相下升沿,B相为高电平时,将右转信号赋值为低电平。同理处理左转信号。
    在得到左转和右转信号后,可以通过两者的上升沿进行旋转事件判断。通过rotary_event来决定是否进行其他操作,如LED是否移动,在通过判断左右转信号的上升沿来判断左转或者右转。
    具体项目可以到论坛下载:
    https://www.eeboard.com/bbs/forum.php?mod=viewthread&tid=45184&fromuid=57460
    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    手机版|小黑屋|与非网

    GMT+8, 2024-4-24 08:28 , Processed in 0.124632 second(s), 17 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.